EPICS Controls Argonne National Laboratory

Experimental Physics and
Industrial Control System

1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024  Index 1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
<== Date ==> <== Thread ==>

Subject: Re: EPICS iocLog file size limitation
From: "Brian McAllister" <[email protected]>
To: [email protected] (Deb Kerstiens)
Cc: [email protected]
Date: Thu, 21 Mar 2002 12:56:27 -0500
>>> On 3/21/2002 at 10:39:16 MST, Deb Kerstiens wrote:

  > I'm puzzled that "mv"ing the file results in having the logServer
  > continue to write to the file in the new location, though. Maybe
  > there's some system magic that keeps track of the file as long as it
  > remains open for writing??

Not magic, just standard Unix-style file I/O.  The file descriptor points
to an "inode", not a physical disk location.  When you "mv" the file, the
contents of the inode are modified to point to the new location, but it's
still the same inode.  The "mv" is invisible to anyone who already has the
file open.

----
Brian McAllister                    Controls Programmer/Beam Physicist
[email protected]                        MIT-Bates Linear Accelerator
(617) 253-9537                                           Middleton, MA

Replies:
Re: EPICS iocLog file size limitation Steve Lewis
References:
Re: EPICS iocLog file size limitation Deb Kerstiens

Navigate by Date:
Prev: Re: EPICS iocLog file size limitation Steven Hartman
Next: Updating R3.13.5 to R3.13.6 Ralph . Lange
Index: 1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
Navigate by Thread:
Prev: Re: EPICS iocLog file size limitation Jim Thomas
Next: Re: EPICS iocLog file size limitation Steve Lewis
Index: 1994  1995  1996  1997  1998  1999  2000  2001  <20022003  2004  2005  2006  2007  2008  2009  2010  2011  2012  2013  2014  2015  2016  2017  2018  2019  2020  2021  2022  2023  2024 
ANJ, 10 Aug 2010 Valid HTML 4.01! · Home · News · About · Base · Modules · Extensions · Distributions · Download ·
· Search · EPICS V4 · IRMIS · Talk · Bugs · Documents · Links · Licensing ·